找到约 49 条相关结果

怎样用stm32F407,扩充200个左右的IO口

论坛 2021-08-08 20:46

... jiajs:最便宜的CPLD现在应该是EPM240/570吧,有国产代用型号 ... 一颗合适的型号即可、比如EPM240/570系列的CPLD有没有管脚 ... 读取IO的开关量状态啊zhenykun:EPM240刷个程序就可以即I又 ...

MCU缺货,各位FPGA工程师还好吗

论坛 2021-05-07 22:56

... )的CPLD或者FPGACYCLONE4系列的,比如EPM240,EPM570和EP4CE10等系列型号。XILINX ... 月,从EP4CE10切换到AG10K,EPM240切换到AG256的客户越来越多。 ...

基于MCU CPLD的新型光栅数显系统设计

论坛 2020-04-26 23:50

... 需求。3.4EPM240简介选用EPM240作为CPLD,EPM240是MAXⅡ系列器件中的 ... 高成本ASSP以及标准逻辑CPLD。EPM240含有240个逻辑单元(LE),等效 ...

基于MCU CPLD的新型光栅数显系统设计

论坛 2020-02-23 23:32

... 需求。3.4EPM240简介选用EPM240作为CPLD,EPM240是MAXⅡ系列器件中的 ... 高成本ASSP以及标准逻辑CPLD。EPM240含有240个逻辑单元(LE),等效 ...

怎样用stm32F407,扩充200个左右的IO口

论坛 2019-08-17 16:40

... wenfen:最便宜的CPLD现在应该是EPM240/570吧,有国产代用型号 ... 一颗合适的型号即可、比如EPM240/570系列的CPLD有没有管脚 ... 读取IO的开关量状态啊zhenykun:EPM240刷个程序就可以即I又 ...

分享一下大家关注的AGM FPGA的技术资料

论坛 2019-05-07 18:47

... 一下最近的情况。1、因为EPM240将要停产,一个客户用AG256SL100,经历 ... 一下最近的情况。1、因为EPM240...FAQ快发出来吧,我卡 ... 大家一起交流。奔跑Robin:因为替代EPM240,EPM570等需求增加很多,最近生产 ...

基于MCU CPLD的新型光栅数显系统设计

论坛 2018-10-17 16:44

... .4EPM240简介选用Altera公司的EPM240作为CPLD,EPM240是MAXⅡ系列器件中的 ... 高成本ASSP以及标准逻辑CPLD。EPM240含有240个逻辑单元(LE),等效 ...

国产FPGA开发经验分享之一:初识AGM(连载中)

论坛 2018-10-12 11:57

... ,有问题也...您好,我的EPM240的文件转到AG256SL100的时候, ... 2019-3-323:20您好,我的EPM240的文件转到AG256SL100的时候, ...

求推荐一款小型CPLD芯片

论坛 2017-09-27 10:07

... 。谢谢!玄德:MAXII系列,EPM240或者570denike:MAXV系列帮到你jovew ... -615,255具体讨论一下。chen3ten:EPM240很便宜westlife2019:chen3ten发表于2019-5 ...

请大家帮忙推荐一款成本低的CPLD或FPGA,兄弟先谢过!

论坛 2017-07-20 18:39

... 比,推荐用那颗feihufuture:用epm240吧,cpld就altera的两颗 ... ALTERA器件,先了解下EPM240,合适就用EPM240了henryh52:低成本的CPLD ...